FET 콤보 회로. 피드백을 보상하는 고품질 초음파 전계 효과 트랜지스터

명세서
최대 RMS 전력:
RH = 4옴, W 60에서
RH = 8옴, W 32에서
작동 주파수 범위. Hz 15...100 000
THD:
f = 1kHz에서, Рout = 60W, RH = 4Ohm, % 0.15
f = 1kHz에서 Рout = 32W, RH = 8Ohm, % 0.08
이득, dB 25...40
입력 임피던스, kOhm 47

환경

경험 많은 실험자가 이 방식에 따라 증폭기를 구축할 때 만족스러운 결과를 얻는 데 어려움을 겪을 가능성은 거의 없습니다. 고려해야 할 주요 문제는 부적절한 취급으로 인한 소자의 부적절한 설치와 MOS 트랜지스터의 손상 또는 회로가 통전되었을 때입니다. 다음 목록은 실험자를 위한 지침으로 제안됩니다. 통제 점검문제 해결:
1. 조립시 인쇄 회로 기판수동 요소를 먼저 설치하고 정확한 포함전해 콘덴서의 극성. 그런 다음 트랜지스터 VT1 ... VT4를 설치하십시오. 마지막으로 리드를 접지와 동시에 단락시키고 접지된 납땜 인두를 사용하여 정전기를 방지하는 MOSFET을 설치합니다. 요소의 올바른 설치를 위해 조립된 보드를 확인하십시오. 이를 위해 그림 4에 표시된 요소의 배열을 사용하는 것이 유용할 것입니다. 2 인쇄 회로 기판에 땜납 단락 흔적이 있는지 확인하고 있으면 제거합니다. 멀티미터로 솔더 조인트를 육안 및 전기적으로 확인하고 필요한 경우 다시 실행합니다.
2. 이제 전원 공급 장치를 증폭기에 적용할 수 있고 출력 단계 무부하 전류(50...100mA)를 설정할 수 있습니다. 전위차계 R12는 먼저 최소 무부하 전류로 설정됩니다(그림 2의 보드 토폴로지에서 오류가 발생한 경우 시계 반대 방향). 양의 전원 분기는 측정 한계가 1A인 전류계를 켭니다. 저항 R12의 슬라이더를 회전하여 50 ... 100mA의 전류계 판독값을 얻습니다. 무부하 전류 설정은 부하를 연결하지 않고 수행할 수 있습니다. 그러나 회로에 부하 스피커가 포함된 경우 DC 과부하 퓨즈로 보호해야 합니다. 대기 전류가 설정된 경우 출력 오프셋 전압에 대해 허용 가능한 값은 100mV 미만이어야 합니다.

R12를 조정할 때 대기 전류의 과도하거나 불규칙한 변화는 회로에서 발생이 발생하거나 요소가 잘못 연결되었음을 나타냅니다. 앞서 설명한 권장 사항을 따라야 합니다( 직렬 연결저항의 게이트 회로에 연결 도체의 길이를 최소화, 공통 접지). 또한 전원 디커플링 커패시터는 증폭기 출력단과 부하 접지 지점에 근접하게 설치해야 합니다. 전력 트랜지스터의 과열을 방지하려면 방열판에 설치된 MOS 트랜지스터로 대기 전류 조정을 수행해야 합니다.
3. 대기 전류를 설정한 후 전류계를 제거해야 합니다.
양의 공급 회로와 증폭기의 입력은 다음과 같습니다.
작동 신호. 전체 정격 전력을 얻기 위한 입력 신호 레벨은 다음과 같아야 합니다.
UBX = 150mV(RH = 4옴, Ki = 100);
UBX= 160mV(RH=8옴, Ki=100);
UBX = 770mV(RH = 4옴, Ki = 20);
UBX = 800mV(RH = 8옴, Ki = 20).
정격 전력에서 작동할 때 출력 신호의 피크에서 "절단"은 공급 전압의 불안정한 안정화를 나타내며 입력 신호의 진폭을 줄이고 감소시켜 수정할 수 있습니다. 평가증폭기.
증폭기의 주파수 응답은 오디오 테스트 키트 또는 오실레이터와 오실로스코프를 사용하여 15Hz...100kHz의 주파수 범위에서 테스트할 수 있습니다. 고주파에서 출력 신호의 왜곡은 부하의 반응 특성을 나타내며 신호 모양을 복원하려면 출력 초크 L1의 인덕턴스 값을 선택해야 합니다. 고주파에서의 주파수 응답은 R6과 병렬로 연결된 보상 커패시터를 사용하여 균등화할 수 있습니다. 주파수 응답의 저주파 부분은 요소 R7, C2에 의해 수정됩니다.
4. 회로에서 가장 많이 발생하는 배경(버즈)의 존재
게인이 너무 높게 설정된 경우. 높은 입구 픽업
차폐를 사용하여 임피던스 최소화
신호 소스에 직접 접지된 케이블. 입력단으로 공급되는 저주파 전원 리플
증폭기는 커패시터 C3에 의해 제거될 수 있습니다. 추가의
배경은 차동 캐스케이드에 의해 감쇠됩니다.
트랜지스터 VT1, VT2 프리 앰프. 그러나 배경 소스가 공급 전압인 경우 SZ, R5 값을 선택하여 리플의 진폭을 억제할 수 있습니다.
5. 부하의 단락 또는 고주파 발생으로 인해 출력단 트랜지스터가 고장난 경우 두 MOSFET을 모두 교체해야 하며 다른 소자가 고장날 가능성은 거의 없습니다. 새 장치 구성표를 설치할 때 설정 절차를 반복해야 합니다.

전원 공급 장치 다이어그램


아마추어 라디오 2호의 베스트 디자인들

변경 사항이 있는 증폭기 회로:



보상 피드백이 있는 전계 효과 트랜지스터의 고품질 UZCH

오늘날 전계 효과 트랜지스터를 기반으로 한 증폭기로 납땜 인두를 고정하는 방법을 알고 있는 고품질 사운드 재생 애호가 또는 설계자를 놀라게 하는 것은 이미 어렵습니다. 이러한 장치의 대부분은 세계 최고의 장치라도 신호 증폭에 참여하지 않지만 시간 및 온도 안정성을 제공하는 차동 입력단과 많은 추가 요소가 있는 전통적인 방식에 따라 제작됩니다. 출력 단계에서 다양한 유형의 채널 전도도를 가진 강력한 보완 트랜지스터를 사용하더라도 기존 회로 솔루션은 근본적으로 바뀌지 않았습니다.

적극적인 창의적 연구와 수많은 지배적 인 고정 관념 회로 솔루션에서 의식적으로 이탈한 결과, 최소 금액가장 정교한 음악 미식가도 만족시킬 수 있는 탁월한 안정성, 신뢰성 및 고성능을 갖추고 있습니다.

부하 저항이 8옴인 증폭기의 주요 매개변수가 표에 나와 있습니다.

매개변수

의미

전압 이득

최대 출력 전력

슬루율

주파수 응답

20 – 3 0000

중간점 불안정

출력 노이즈 전압

THD

앰프를 개발할 때 특별한 주의품질 지표, 최대 효율성 및 사용되는 최소 부품 수에 끌렸으므로 신뢰성을 크게 높이고 반복을 단순화할 수 있었습니다. 분배 네트워크에 있는 부품의 존재와 가용성도 고려하여 증폭기 비용을 크게 줄였습니다.

증폭기(다이어그램 참조)는 저전력 전계 효과 트랜지스터의 입력 단계로 구성됩니다. 다른 유형전도도 VT1 및 VT2 공통 소스가있는 회로에 따라 연결되며 그 부하가 저항 R2 및 R3입니다. 저항 R1은 이러한 트랜지스터의 게이트를 접지에 연결하고 증폭기의 입력 임피던스를 결정하며 입력 커플링 커패시터 C1의 커패시턴스와 함께 오디오 스펙트럼의 저주파 영역에서 주파수 응답을 설정합니다. 트랜지스터 VT3 및 VT4는 공통 기본 회로에 따라 연결되며 전압은 제너 다이오드 VD1 및 VD2에 의해 설정되며 출력 신호의 가변 구성 요소에서 입력 트랜지스터의 디커플링을 제공하고 과도한 DC 공급을 줄입니다. 그들의 드레인에서 전압. 트랜지스터 VT5 및 VT6은 공통 컬렉터 회로에 따라 연결되고 베이스-이미터 접합은 트랜지스터 VT1 및 VT2의 바이어스 요소이며 정전압증폭기의 출력과 함께 저항 R7 및 R10을 통해 연결된 베이스에서 중간점의 임의 이탈과 대기 전류 증가를 보상합니다. 저항 R2 및 R3에 걸친 DC 전압 강하는 초기 드레인 전류(대기 전류) 값에 의해 강력한 출력 트랜지스터 VT7 및 VT8을 열어 클래스 AB의 증폭기 작동을 결정합니다.


증폭기 회로는 다음과 같이 작동합니다. 입력 신호의 양의 반파는 커패시터 C1을 통해 트랜지스터 VT1의 게이트로 전달되고 드레인 전류가 증가하여 저항 R2의 전압 강하가 증가하여 트랜지스터 VT7 및 증폭기 출력에서 ​​양의 반파 신호의 출현. 전체 증폭기의 이득을 설정하는 요소 R7, C2, R8의 전압 분배기와 트랜지스터 VT5의 이미 터 팔로워를 통해 출력 신호의 일부가 트랜지스터 VT1의 소스에 공급되어 음수 신호 엔벨로프의 비선형 왜곡을 보상하는 피드백과 저항 R11 전압에서 제거된 상수는 정지 전류와 중간점을 안정화합니다. 입력 신호의 음의 반파장의 증폭과 매개변수의 안정화는 회로의 아래쪽, 대칭 위쪽, 절반에서 유사한 방식으로 발생합니다. 저항 R4 및 R5는 트랜지스터 VT7 및 VT8의 입력 커패시턴스와 함께 증폭기의 대역폭을 제한하고 자체 여기를 제거하는 저역 통과 필터를 형성합니다.

증폭기는 크기가 115 ' 63 mm이고 두께가 2 - 3 mm인 단면 호일 유리 섬유로 만들어진 인쇄 회로 기판에 장착됩니다. 아래는 트랙 측면에서 본 PCB 도면입니다.


증폭기 설정은 트리머 R2 및 R3이 있는 출력 트랜지스터를 통해 대기 전류를 설정하고 증폭기 출력(중간점)에서 0 전압을 설정하는 것입니다. 이를 위해 저항 R2 및 R3을 중간 위치로 설정하고 증폭기 출력을 전압이 24V인 저전력 백열 램프에 로드하고 공급 전압을 인가합니다. 이 경우 램프가 켜지지 않아야 하며 이는 적절한 설치 및 수리 가능한 부품을 나타냅니다. 두 튜닝 저항을 값을 증가시키는 방향으로 번갈아 부드럽게 회전시키면 트랜지스터 VT7 및 VT8을 통해 전류가 나타나며 저항 R11 또는 R12의 전압 강하에 의해 디지털 밀리볼트미터에 의해 제어됩니다. 이 전압의 값은 75 - 100mA의 대기 전류에 해당하는 15 - 20mV 이내여야 합니다. 증폭기 출력의 중간점이 플러스 쪽으로 이동하면 트리밍 저항 R2에 의해 설정되고 마이너스 쪽으로 이동하면 트리밍 저항 R3에 의해 설정됩니다. 출력 트랜지스터의 대기 전류가 다시 제어되고 필요한 경우 동작이 다시 반복됩니다.

증폭기는 ±15~±30V의 공급 전압에서 작동 상태를 유지합니다. 최소 5A의 전류에 전원 공급 장치, 공급 전압의 절반에 해당하는 전압에 제너 다이오드 VD 1 및 VD 2, 해당에 대한 커패시터 C5 및 C6만 사용하면 됩니다. 작동 전압, 최대 출력을 위한 증폭기의 지속적인 작동으로 저항 R11 및 R12의 전력은 5와트로 증가되어야 합니다.

입력 트랜지스터 VT1 및 VT2는 초기 드레인 전류 IDSS와 같거나 가까워야 합니다. 출력 트랜지스터 VT7 및 VT8은 이러한 유형의 트랜지스터에 대해 3~4볼트가 될 수 있는 폐쇄 채널 개방 전압 VGS(to)로 선택해야 합니다. 이것은 판매자와 동의하고 간단한 집에서 만든 또는 산업용 장치를 사용하여 구매 즉시 수행 할 수 있습니다. 다이어그램에 표시된 트랜지스터 유형은 잘 쌍을 이루며 특수 절연 개스킷을 통해 전원에 해당하는 영역의 라디에이터에 설치해야합니다. 저항 R2 및 R3은 다중 회전 정밀 유형 SP3-39A, SP5-2 또는 이와 유사한 것입니다. 전해콘덴서 C2, C3은 무극성 적용, 사용시 임펄스 블록전원 공급 장치 커패시터 C5 및 C6은 비유도성 커패시터로 분로되어야 합니다. 용량 0.1- 1.0 미크로포맷 저항 R11 및 R12는 와이어가 없는 유형의 퓨즈로 과부하 시 끊어집니다.

증폭기 회로의 주요 특징 중 하나는 강력한 트랜지스터로 증폭된 출력 신호를 제어 전극이 아닌 드레인에서 가져오는 것입니다. 이것은 신호가 소스 또는 이미 터에서 가져온 경우 출력 트랜지스터에서 확성기 보이스 코일의 역기전력으로 인해 발생하는 특정 왜곡을 크게 줄였습니다. 따라서이 앰프는 작동 원리에 따라 진공관과 동일하지만 구성 요소의 왜곡 및 비용은 말할 것도없고 효율성, 재생 가능한 주파수 대역폭, 속도 및 신뢰성 측면에서 크게 능가합니다.

전계 효과 트랜지스터의 중요한 특성은 과열되면 채널의 전도도가 각각 감소하고 특성의 기울기와 드레인 전류가 감소하여 열 파괴로부터 자동으로 보호된다는 것입니다. 증폭기 출력 단계에 사용되는 전계 효과 트랜지스터의 또 다른 특성은 2차 과도 응답으로, 높은 출력 전력 수준에서 비선형 왜곡을 줄이는 데 도움이 됩니다. 트랜지스터 VT7 및 VT8을 통과하는 전류가 높을수록 기울기와 이득이 커지고 음의 피드백이 깊어집니다.

증폭기가 네트워크에 연결되면 커패시터 C5 및 C6의 공급 전압의 절반에 도달 할 때까지 제너 다이오드 VD1 및 VD2가 잠기고 모든 트랜지스터와 함께 잠금 해제가 원활하고 동시에 발생합니다. 회로의 양쪽 절반을 모두 제거하여 많은 유사한 디자인의 전형적인 라우드스피커의 불쾌한 소리를 완전히 제거합니다. 이러한 이유로 앰프는 최대 출력 전력으로 작동하는 동안에도 비상 종료 및 전원 켜기를 두려워하지 않습니다.

이 앰프는 다양한 주변 온도에서 다양한 신호 소스로 작동하는 테스트를 거쳤으며 높은 신뢰성, 우수한 출력 및 동적 특성을 보여주었으며 고품질의 가정용 또는 전문적인 사운드 재생을 좋아하는 사람들에게 권장됩니다. 볼륨, 음색 및 균형 조정을 위한 블록은 특수 TDA1524A 칩을 사용하여 웹 사이트 http://cxem.net/sound/tembrs/tembr14.php에 제공된 구성표에 따라 수행할 수 있습니다. 필요한 경우 알려진 방식에 따라 만들어진 마이크 신호 증폭기도 회로에 추가할 수 있습니다. 앰프 보드의 부품 위치는 아래 그림과 같습니다.


증폭기의 선형성을 높이고 비선형 왜곡 계수를 더 줄이려면 다음을 수행할 수 있습니다. 병렬 연결피드백 회로의 저항 R 8 또는 R 9 중 하나의 조정(값 조정)과 두 개의 출력 트랜지스터의 각 암에 연결합니다. 전환 커패시터 C 1을 제거하면 회로는 자동화, 원격 기계 및 제어 시스템을 위한 강력한 선형 DC 증폭기로 전환될 수 있습니다.

유르코 스트렐코프-세르가
사서함 5000 Vinnitsa-18
[이메일 보호됨]

전계 효과 트랜지스터(FET) 증폭기는 입력 임피던스가 큽니다. 일반적으로 이러한 증폭기는 전치 증폭기, 측정용 DC 증폭기 및 기타 전자 장비의 첫 번째 단계로 사용됩니다.
첫 번째 단계에서 입력 임피던스가 큰 증폭기를 사용하면 내부 저항이 큰 신호 소스를 입력 임피던스가 작은 후속 더 강력한 증폭기 단계와 일치시킬 수 있습니다. 전계 효과 트랜지스터의 증폭 단계는 공통 소스 회로에 따라 가장 자주 수행됩니다.

게이트와 소스 사이의 바이어스 전압이 0이기 때문에 트랜지스터 VT의 나머지 모드는 U GD = 0에서 드레인 게이트 특성의 점 A 위치가 특징입니다(그림 15, b).
이 경우 진폭 U mZI의 교류 고조파(즉, 사인파) 전압 U GS가 증폭기의 입력에 공급되면 이 전압의 양의 반주기와 음의 반주기가 다르게 증폭됩니다. 입력 전압 U GS의 주기에서, 드레인 전류의 가변 성분의 진폭 I "mc는 단면의 드레인 게이트 특성의 기울기 때문에 양의 반주기(I ""mc)보다 클 것입니다 AB는 단면 AC의 기울기에 비해 더 큽니다. 결과적으로 드레인 전류의 가변 구성 요소의 모양과 부하 U OUT에 생성하는 교류 전압은 모양 입력 전압과 다릅니다. 즉, 증폭된 신호의 왜곡.
증폭 중 신호 왜곡을 줄이려면 드레인 게이트 특성의 일정한 기울기, 즉 이 특성의 선형 섹션에서 전계 효과 트랜지스터의 작동을 보장해야 합니다.
이를 위해 저항 R이 소스 회로에 포함되어 있습니다(그림 16, a).



저항을 통해 흐르는 드레인 전류 I C0에 전압이 생성됩니다.
U Ri = I CO Ri, 이는 게이트와 소스 영역 사이에 형성된 EAF를 포함하여 소스와 게이트 사이에 반대 방향으로 인가된다. 이것은 드레인 전류의 감소로 이어지며 이 경우 작동 모드는 점 A "(그림 16, b)로 특성화됩니다.

이득의 감소를 방지하기 위해 커패시터 C는 저항 R과 병렬로 연결되고 대용량에 대한 부정적인 피드백을 제거합니다. 교류, 저항 R 및. 양단의 교류 전압에 의해 형성됩니다. 점 A"가 특징인 모드에서 AC 전압을 증폭하는 동안 드레인 게이트 특성의 기울기는 입력 전압의 포지티브 및 네거티브 반주기의 증폭과 거의 동일하게 유지되며, 그 결과 왜곡 증폭된 신호는 중요하지 않을 것입니다
(섹션 A "B"와 A "C"는 거의 같습니다).
휴지 모드에서 게이트와 소스 사이의 전압이 U ZIO로 표시되고 FET를 통해 흐르는 드레인 전류가 I C0인 경우 저항 R 및 (옴 단위)의 저항은 다음 공식으로 계산할 수 있습니다 :
리 \u003d 1000 U ZIO / I C0,
드레인 전류 I CO가 밀리암페어로 대체됩니다.
그림 15에 표시된 증폭기 회로는 제어 p-n 접합 및 p-형 채널이 있는 FET를 사용합니다. 유사한 트랜지스터를 FET로 사용하지만 n형 채널을 사용하는 경우 회로는 동일하게 유지되고 전원 공급 장치 연결의 극성만 변경됩니다.
유도 채널 또는 내장 채널이 있는 MOS 전계 효과 트랜지스터로 만든 증폭기는 입력 저항이 훨씬 더 큽니다. ~에 DC이러한 증폭기의 입력 임피던스는 100MΩ을 초과할 수 있습니다. 게이트 및 드레인 전압의 극성이 같기 때문에 게이트 회로에 필요한 바이어스 전압을 제공하기 위해 그림 3과 같은 방식으로 트랜지스터의 입력에 연결된 전압 분배기에 연결하여 전원 전압 G C를 사용할 수 있습니다. 17.

공통 드레인 증폭기

공통 드레인 FET 증폭기 회로는 공통 컬렉터 증폭기 회로와 유사합니다. 그림 18a는 제어 pn 접합과 p형 채널이 있는 FET의 공통 드레인이 있는 증폭기의 다이어그램을 보여줍니다.


저항 Ri는 소스 회로에 연결되고 드레인은 전원 공급 장치의 음극에 직접 연결됩니다. 따라서 입력 전압에 의존하는 드레인 전류는 저항 Ri를 통해서만 전압 강하를 생성합니다. 캐스케이드의 동작은 입력 전압이 정현파 형태를 갖는 경우에 대해 도 18b에 도시된 그래프에 의해 예시된다. 초기 상태에서 드레인 전류 I C0는 트랜지스터를 통해 흐르고 저항 R에 전압 U I0(U OUT0)을 생성합니다. 입력 전압의 양의 반주기 동안 게이트와 소스 사이의 역 바이어스가 증가하여 드레인 전류와 저항 Ri 양단의 전압 절대값이 감소합니다. 반대로 입력 전압의 음의 반주기에서는 게이트 바이어스 전압이 감소하고 드레인 전류와 저항 R 양단의 전압 절대값이 증가합니다. 결과적으로 저항 Ri, 즉 FET 소스 (그림 18, b)에서 가져온 출력 전압은 입력 전압과 동일한 모양을 갖습니다.
이와 관련하여 공통 드레인이 있는 증폭기를 소스 팔로워(소스 전압은 모양과 값에서 입력 전압을 반복함)라고 합니다.

저주파 증폭기(ULF)는 변환에 사용됩니다. 약한 신호주로 오디오 범위에서 전기 역학 또는 기타 사운드 방출기를 통해 직접 인식할 수 있는 보다 강력한 신호로 변환됩니다.

최대 10 ... 100MHz의 고주파 증폭기는 유사한 방식에 따라 구축되며, 전체 차이점은 이러한 증폭기의 커패시터의 커패시턴스 값이 감소한다는 사실에 가장 자주 기인합니다. 고주파 신호의 주파수가 저주파 신호의 주파수를 초과하는 만큼.

간단한 단일 트랜지스터 증폭기

공통 이미 터가있는 구성표에 따라 만들어진 가장 간단한 ULF가 그림 1에 나와 있습니다. 1. 전화 캡슐을 부하로 사용했습니다. 허용 전압이 증폭기의 전원 공급 장치 3 ... 12 V.

바이어스 저항 R1(수십 kΩ)의 값을 실험적으로 결정하는 것이 바람직합니다. 최적 값은 증폭기의 공급 전압, 전화 캡슐의 저항 및 트랜지스터의 특정 인스턴스의 전송 계수에 따라 달라지기 때문입니다. .

쌀. 1. 하나의 트랜지스터 + 커패시터 및 저항에 대한 간단한 ULF 계획.

저항 R1의 초기 값을 선택하려면 그 값이 부하 회로에 포함된 저항보다 약 100배 이상 커야 한다는 점을 고려해야 합니다. 바이어스 저항을 선택하려면 직렬로 연결하는 것이 좋습니다. 고정 저항 20 ... 30 kOhm의 저항과 100 ... 1000 kOhm의 가변 저항, 그 후 증폭기의 입력에 적용 소리 신호예를 들어 테이프 레코더나 플레이어에서 노브를 돌려 작은 진폭 가변 저항기성취하다 최고의 품질최대 볼륨으로 신호를 보냅니다.

전이 커패시터 C1(그림 1)의 커패시턴스 값은 1~100마이크로패럿 범위일 수 있습니다. 이 커패시턴스 값이 클수록 ULF가 증폭할 수 있는 주파수가 낮아집니다. 저주파 증폭 기술을 마스터하려면 요소 값과 증폭기의 작동 모드 선택을 실험하는 것이 좋습니다(그림 1-4).

개선된 단일 트랜지스터 증폭기 옵션

그림의 구성표와 비교하여 복잡하고 개선되었습니다. 1 증폭기 회로가 그림 1에 나와 있습니다. 2와 3. 그림의 다이어그램에서. 도 2에 도시된 바와 같이, 증폭 단계에는 신호 품질을 향상시키는 주파수 종속 네거티브 피드백 회로(저항 R2 및 커패시터 C2)가 추가로 포함됩니다.

쌀. 2. 주파수 종속 네거티브 피드백 체인이 있는 단일 트랜지스터 ULF의 구성표.

쌀. 3. 트랜지스터 베이스에 바이어스 전압을 공급하는 분배기가 있는 단일 트랜지스터 증폭기.

쌀. 4. 트랜지스터 베이스에 대한 자동 바이어스 설정이 있는 단일 트랜지스터 증폭기.

그림의 다이어그램에서. 3에서 트랜지스터 베이스에 대한 바이어스는 디바이더를 사용하여 더 "단단하게" 설정되어 작동 조건이 변경될 때 증폭기의 품질을 향상시킵니다. 증폭 트랜지스터를 기반으로 하는 "자동" 바이어스 설정이 그림의 회로에 사용됩니다. 넷.

2단 트랜지스터 증폭기

두 개의 간단한 증폭 단계(그림 1)를 직렬로 연결하면 2단계 ULF(그림 5)를 얻을 수 있습니다. 이러한 증폭기의 이득은 개별 단계의 이득의 곱과 같습니다. 그러나 이후에 단계 수가 증가하여 큰 안정적인 이득을 얻는 것은 쉽지 않습니다. 증폭기는 대부분 자기 여기될 것입니다.


쌀. 5. 간단한 2단 베이스 앰프의 구성.

최근 몇 년 동안 잡지의 페이지에서 자주 인용되는 저주파 증폭기의 새로운 개발은 최소 비선형 왜곡 계수 달성, 출력 증가, 증폭 주파수 대역폭 확장 등을 목표로 합니다.

동시에 다양한 장치를 설정하고 실험을 수행할 때 몇 분 안에 조립할 수 있는 간단한 ULF가 필요한 경우가 많습니다. 이러한 증폭기는 최소한의 결함 요소를 포함하고 광범위한 공급 전압 및 부하 저항에서 작동해야 합니다.

전계 효과 및 실리콘 트랜지스터의 ULF 회로

캐스케이드 사이에 직접 연결된 간단한 저주파 전력 증폭기의 다이어그램이 그림 1에 나와 있습니다. 6 [규칙 3/00-14]. 증폭기의 입력 임피던스는 전위차계 R1의 값에 의해 결정되며 수백 옴에서 수십 메가옴까지 다양할 수 있습니다. 증폭기의 출력은 저항이 2 ... 4 ~ 64 옴 이상인 부하에 연결할 수 있습니다.

고저항 부하로 KT315 트랜지스터를 VT2로 사용할 수 있습니다. 증폭기는 3~15V의 공급 전압 범위에서 작동할 수 있지만 공급 전압이 0.6V로 감소하더라도 허용 가능한 성능이 유지됩니다.

커패시터 C1은 1에서 100마이크로패럿까지 선택할 수 있습니다. 후자의 경우(C1 \u003d 100μF) ULF는 50Hz ~ 200kHz 이상의 주파수 대역에서 작동할 수 있습니다.


쌀. 6. 계획 간단한 증폭기두 개의 트랜지스터에서 저주파.

ULF 입력 신호의 진폭은 0.5 ... 0.7 V를 초과해서는 안 됩니다. 증폭기의 출력 전력은 부하 저항과 공급 전압의 크기에 따라 수십 mW에서 W 단위까지 다양합니다.

증폭기 설정은 저항 R2 및 R3 선택으로 구성됩니다. 그들의 도움으로 트랜지스터 VT1의 드레인 전압은 전원 전압의 50 ... 60 %와 동일하게 설정됩니다. 트랜지스터 VT2는 방열판(라디에이터)에 설치해야 합니다.

직접 연결이 가능한 트랙 캐스케이드 ULF

무화과에. 7은 캐스케이드 사이에 직접 연결이 있는 또 다른 단순한 ULF의 다이어그램을 보여줍니다. 이러한 종류의 연결은 저주파 영역에서 증폭기의 주파수 응답을 향상시키고 전체 회로를 단순화합니다.


쌀. 7. 회로도캐스케이드 간의 직접 연결이 있는 3-캐스케이드 ULF.

동시에 각 증폭기 저항을 다음에서 선택해야 하기 때문에 증폭기 튜닝이 복잡합니다. 개별적으로. 대략적으로 저항 R2와 R3, R3과 R4, R4와 R BF의 비율은 (30 ... 50) ~ 1 이내여야 합니다. 저항 R1은 0.1 ... 2kOhm이어야 합니다. 그림에 표시된 증폭기의 계산. 7은 [P 9/70-60]과 같은 문헌에서 찾을 수 있습니다.

바이폴라 트랜지스터의 캐스케이드 ULF 방식

무화과에. 도 8 및 9는 바이폴라 트랜지스터의 캐스코드 ULF 회로를 보여줍니다. 이러한 증폭기는 이득 Ku가 다소 높습니다. 그림의 증폭기. 8은 30Hz에서 120kHz까지의 주파수 대역에서 Ku=5를 갖는다[MK 2/86-15]. 그림 1의 방식에 따른 ULF 고조파 계수가 1% 미만인 9의 이득은 100[RL 3/99-10]입니다.

쌀. 8. 이득 = 5인 두 개의 트랜지스터에서 ULF를 캐스케이드합니다.

쌀. 9. 이득 = 100인 두 개의 트랜지스터에서 ULF를 캐스케이드합니다.

3개의 트랜지스터에서 경제적인 ULF

휴대용 전자기기용 중요한 매개변수 ULF의 효율성입니다. 이러한 ULF의 계획은 그림 1에 나와 있습니다. 10 [RL 3/00-14]. 여기서, 전계 효과 트랜지스터(VT1)와 바이폴라 트랜지스터(VT3)의 캐스케이드 연결이 사용되며, 트랜지스터(VT2)는 VT1 및 VT3의 동작점을 안정화시키는 방식으로 턴온된다.

입력 전압이 증가하면 이 트랜지스터는 이미 터-베이스 VT3 접합을 분로하고 트랜지스터 VT1 및 VT3을 통해 흐르는 전류 값을 줄입니다.


쌀. 10. 3개의 트랜지스터에 대한 간단한 경제적인 저주파 증폭기의 계획.

위의 회로(그림 6 참조)에서와 같이 이 ULF의 입력 저항은 수십 옴에서 수십 메가옴 범위로 설정할 수 있습니다. 전화용 프라이머, 예를 들어 TK-67 또는 TM-2V를 로드로 사용했습니다. 플러그로 연결된 전화 캡슐은 동시에 회로의 전원 스위치 역할을 할 수 있습니다.

ULF 공급 전압 범위는 1.5V ~ 15V이지만 공급 전압이 0.6V로 떨어지는 경우에도 장치는 계속 작동합니다. 2 ... 15V의 공급 전압 범위에서 증폭기가 소비하는 전류는 다음 식으로 설명됩니다. :

1(μA) = 52 + 13*(업피트)*(업피트),

여기서 Upit은 볼트(V) 단위의 공급 전압입니다.

트랜지스터 VT2를 끄면 장치에서 소비하는 전류가 10배 증가합니다.

캐스케이드 간의 직접 연결이 있는 2-캐스케이드 ULF

직접 연결 및 최소 작동 모드 선택이 있는 ULF의 예는 그림 1에 표시된 회로입니다. 11 - 14. 이득이 높고 안정성이 좋습니다.


쌀. 11. 마이크를 위한 간단한 2단계 ULF(저잡음, 고이득).


쌀. 12. KT315 트랜지스터 기반 2단 저주파 증폭기.


쌀. 13. KT315 트랜지스터 기반 2단 저주파 증폭기 - 옵션 2.

마이크 증폭기(그림 11)는 다음과 같은 특징이 있습니다. 낮은 수준고유 노이즈 및 높은 이득 [MK 5/83-XIV]. BM1 마이크는 전기역학적 마이크를 사용하였다.

전화 캡슐은 마이크 역할도 할 수 있습니다. 그림 1의 증폭기의 동작점 안정화(입력 트랜지스터에 기반한 초기 바이어스). 11-13은 두 번째 증폭 단계의 이미 터 저항에 걸친 전압 강하로 인해 수행됩니다.


쌀. 14. 전계 효과 트랜지스터가 있는 2단 ULF.

높은 입력 저항 (약 1MΩ)을 갖는 증폭기 (그림 14)는 전계 효과 트랜지스터 VT1 (소스 팔로워)과 바이폴라 - VT2 (공통)에서 만들어집니다.

입력 임피던스가 높은 캐스케이드 저주파 전계 효과 트랜지스터 증폭기가 그림 1에 나와 있습니다. 열 다섯.


쌀. 15. 2개의 전계 효과 트랜지스터에 대한 간단한 2단계 ULF 다이어그램.

저옴 부하 작업을 위한 ULF 회로

저저항 부하에서 작동하도록 설계되고 수십 mW 이상의 출력 전력을 갖는 일반적인 ULF가 그림 1에 나와 있습니다. 16, 17.

쌀. 16. 저저항 부하 작업을 위한 간단한 ULF.

전기 역학 헤드 BA1은 그림과 같이 증폭기의 출력에 연결할 수 있습니다. 16, 또는 다리의 대각선에 있습니다(그림 17). 전원이 직렬로 연결된 두 개의 배터리(축전지)로 구성된 경우 다이어그램에 따라 BA1 헤드의 출력은 커패시터 C3, C4 없이 직접 중간점에 연결할 수 있습니다.

쌀. 17. 브리지 대각선에 저저항 부하가 포함된 저주파 증폭기 회로.

간단한 튜브 ULF에 대한 회로가 필요한 경우 단일 램프에서도 이러한 증폭기를 조립할 수 있습니다. 해당 섹션의 전자 웹 사이트를 참조하십시오.

문학: Shustov M.A. 실용 회로 (Book 1), 2003.

게시물의 수정 사항:그림에서. 다이오드 D9 대신 16 및 17에 다이오드 체인이 설치됩니다.